test debugging

This commit is contained in:
Enno Rehling 2019-03-24 18:05:40 +01:00
parent 63b762a627
commit 9168a25bf2
1 changed files with 9 additions and 2 deletions

View File

@ -31,8 +31,15 @@ function test_undead_reserve_other()
u1.name = 'Xolgrim'
process_orders()
-- Intermittent Failure: expected 0 but was 2
-- assert_equal(0, u1:get_item("log"))
if 0 ~= u1:get_item("log") then
-- try to catch that intermittent bug:
print(u1:show())
end
assert_equal(0, u1:get_item("log"))
if 2 ~= u2:get_item("log") then
-- try to catch that intermittent bug:
print(u2:show())
end
assert_equal(2, u2:get_item("log"))
end